SPICE器件模型 SPICE器件模型-SPICE器件模型,SPICE器件模型-SP

SPICE器件模型是一种用于PCB板级信号完整性分析的模型,描述器件内部的实际电气连接。

spice模型_SPICE器件模型 -SPICE器件模型

为了进行电路模拟,必须先建立元器件的模型,也就是对于电路模拟程序所支持的各种元器件,在模拟程序中必须有相应的数学模型来描述他们,即能用计算机进行运算的计算公式来表达他们。一个理想的元器件模型,应该既能正确反映元器件的电学特性又适于在计算机上进行数值求解。一般来讲,器件模型的精度越高,模型本身也就越复杂,所要求的模型参数个数也越多。这样计算时所占内存量增大,计算时间增加。而集成电路往往包含数量巨大的元器件,器件模型复杂度的少许增加就会使计算时间成倍延长。反之,如果模型过于粗糙,会导致分析结果不可靠。因此所用元器件模型的复杂程度要根据实际需要而定。

如果需要进行元器件的物理模型研究或进行单管设计,一般采用精度和复杂程度较高的模型,甚至采用以求解半导体器件基本方程为手段的器件模拟方法。二维准静态数值模拟是这种方法的代表,通过求解泊松方程,电流连续性方程等基本方程结合精确的边界条件和几何、工艺参数,相当准确的给出器件电学特性。而对于一般的电路分析,应尽可能采用能满足一定精度要求的简单模型(Compact model)。

电路模拟的精度除了取决于器件模型外,还直接依赖于所给定的模型参数数值的精度。因此希望器件模型中的各种参数有明确的物理意义,与器件的工艺设计参数有直接的联系,或能以某种测试手段测量出来。

目前构成器件模型的方法有两种:一种是从元器件的电学工作特性出发,把元器件看成‘黑盒子’,测量其端口的电气特性,提取器件模型,而不涉及器件的工作原理,称为行为级模型。这种模型的代表是IBIS模型和S参数。其优点是建模和使用简单方便,节约资源,适用范围广泛,特别是在高频、非线性、大功率的情况下行为级模型几乎是唯一的选择。缺点是精度较差,一致性不能保证,受测试技术和精度的影响。另一种是以元器件的工作原理为基础,从元器件的数学方程式出发,得到的器件模型及模型参数与器件的物理工作原理有密切的关系。SPICE模型是这种模型中应用最广泛的一种。其优点是精度较高,特别是随着建模手段的发展和半导体工艺的进步和规范,人们已可以在多种级别上提供这种模型,满足不同的精度需要。缺点是模型复杂,计算时间长。

一般驱动器和接收器的模型由器件厂商提供,传输线的模型通常从场分析器中提取,封装和连接器的模型即可以由场分析器提取,又可以由制造厂商提供。

在电子设计中已经有多种可以用于PCB板级信号完整性分析的模型,其中最为常用的有三种,分别是SPICE、IBIS和Verilog-AMS、VHDL-AMS。

spice模型_SPICE器件模型 -SPICE模型

SPICE模型是较早出现的一种。Spice是Simulation Program with Integrated Circuit Emphasis的缩写,是一种功能强大的通用模拟电路仿真器,描述器件内部的实际电气连接,已经具有几十年的历史了。该程序是美国加利福尼亚大学伯克利分校电工和计算科学系开发的,主要用于集成电路的电路分析程序中,Spice的网表格式变成了通常模拟电路和晶体管级电路描述的标准,其第一版本于1972年完成,是用Fortran语言写成的,1975年推出正式实用化版本,1988年被定为美国国家工业标准,主要用于IC,模拟电路,数模混合电路,电源电路等电子系统的设计和仿真。由于Spice仿真程序采用完全开放的政策,用户可以按自己的需要进行修改,加之实用性好,迅速得到推广,已经被移植到多个操作系统平台上。自从Spice问世以来,其版本的更新持续不断,有Spice2、Spice3等多个版本,新版本主要在电路输入、图形化、数据结构和执行效率上有所增强,人们普遍认为Spice2G5是最为成功和有效的,以后的版本仅仅是局部的变动。同时,各种以伯克利的Spice仿真程序的算法为核心的商用Spice电路仿真工具也随之产生,运行在PC和UNIX平台,许多都是基于原始的SPICE 2G6版的源代码,这是一个公开发表的版本,它们都在Spice的基础上做了很多实用化的工作,比较常见的Spice仿真软件有HSpice、Pspice、Spectre、Tspice、SmartSpcie、IsSpice等,虽然它们的核心算法雷同,但仿真速度、精度和收敛性却不一样,其中以Synopsys公司的Hspice和Cadence公司的Pspice最为著名。Hspice是事实上的Spice工业标准仿真软件,在业内应用最为广泛,它具有精度高、仿真功能强大等特点,但它没有前端输入环境,需要事前准备好网表文件,不适合初级用户,主要应用于集成电路设计;Pspice是个人用户的最佳选择,具有图形化的前端输入环境,用户界面友好,性价比高,主要应用于PCB板和系统级的设计。

SPICE仿真软件包含模型和仿真器两部分。由于模型与仿真器是紧密地集成在一起的,所以用户要添加新的模型类型是很困难的,但是很容易添加新的模型,仅仅需要对现有的模型类型设置新的参数即可。

SPICE模型由两部分组成:模型方程式(Model Equations)和模型参数(Model Parameters)。由于提供了模型方程式,因而可以把SPICE模型与仿真器的算法非常紧密地联接起来,可以获得更好的分析效率和分析结果。

现在SPICE模型已经广泛应用于电子设计中,可对电路进行非线性直流分析、非线性瞬态分析和线性交流分析。被分析的电路中的元件可包括电阻、电容、电感、互感、独立电压源、独立电流源、各种线性受控源、传输线以及有源半导体器件。SPICE内建半导体器件模型,用户只需选定模型级别并给出合适的参数。

采用SPICE模型在PCB板级进行SI分析时,需要集成电路设计者和制造商提供详细准确描述集成电路I/O 单元子电路的SPICE模型和半导体特性的制造参数。由于这些资料通常都属于设计者和制造商的知识产权和机密,所以只有较少的半导体制造商会在提供芯片产品的同时提供相应的SPICE模型。

SPICE模型的分析精度主要取决于模型参数的来源(即数据的精确性),以及模型方程式的适用范围。而模型方程式与各种不同的数字仿真器相结合时也可能会影响分析的精度。除此之外,PCB板级的SPICE模型仿真计算量较大,分析比较费时。

spice模型_SPICE器件模型 -IBIS模型

IBIS 是I/O Buffer Information Specification 的缩写,它是一种基于I/V曲线的对I/O BUFFER快速准确建模的方法,是反映芯片驱动和接收电气特性的一种国际标准,它提供一种标准的文件格式来记录如驱动源输出阻抗、上升/下降时间及输入负载等参数,非常适合做振荡和串扰等高速电路设计中的计算与仿真。

为了制定统一的IBIS 格式,EDA 公司、IC 供应商和最终用户成立了一个IBIS格式制定委员会,IBIS公开论坛也随之诞生,它是由一些EDA厂商、计算机制造商、半导体厂商和大学组成的。在1993 年,格式制定委员会推出了IBIS 的第一个标准Version 1.0,以后不断对其进行修订,现在的最新正式版本是2004年公布的Version 4.1,V4.1主要加入了对多语言模型的支持,包括Berkeley SPICE, VHDL-AMS 和 Verilog-AMS,IBIS模型具备了对整个系统建模的能力,模型应用的范围得到了很大的扩充,但是这需要同时支持这些模型的混合仿真引擎才能进行仿真,因此模型的软件的大规模应用还有待时日。IBIS标准已经得到了EIA的认可,被定义为ANSI/EIA-656-A标准。每一个新的版本都会加入一些新的内容,但这些新内容都只是一个IBIS 模型文件中的可选项目而不是必须项目,这就保证了IBIS 模型的向后兼容性能。

现在,已经有几十个EDA 公司成为IBIS 公开论坛的成员,支持IBIS 的EDA公司提供不同器件的IBIS 模型以及软件仿真工具。有越来越多的半导体厂商开始提供自己产品的IBIS 模型。由于IBIS模型无需描述I/O 单元的内部设计和晶体管制造参数,因而得到了半导体厂商的欢迎和支持。现在各主要的数字集成电路制造商都能够在提供芯片的同时提供相应的IBIS模型。

IBIS规范本身只是一种文件格式,它说明在一标准的IBIS文件中如何记录一个芯片的驱动器和接收器的不同参数,但并不说明这些被记录的参数如何使用,这些参数需要由使用IBIS模型的仿真工具来读取。

IBIS模型仅提供驱动器和接收器的行为描述,但不泄漏电路内部构造的知识产权细节。换句话说,销售商可以用IBIS模型来说明它们最新的门级设计工作,而不会给其竞争对手透露过多的产品信息。并且,因为IBIS是一个简单的模型,在进行PCB板级仿真采用查表计算,因而计算量较小,比相应的全Spice三极管级模型仿真要节省10~15倍的计算量。

IBIS提供两条完整的I/V曲线分别代表驱动器为高电平和低电平状态,以及在确定的转换速度下状态转换的曲线。I/V曲线的作用在于为IBIS提供保护二极管、TTL图腾柱驱动源和射极跟随输出等非线性效应的建模能力。IBIS模型的分析精度主要取决于I/V和V/T表的数据点数和数据的精确度。

与Spice模型相比,IBIS模型的优点可以概括为:

(1)在I/O非线性方面能够提供准确的模型,同时考虑了封装的寄生参数与ESD结构;

(2)提供比结构化的方法更快的仿真速度;

SPICE器件模型 SPICE器件模型-SPICE器件模型,SPICE器件模型-SP

(3)可用于系统板级或多板信号完整性分析仿真。可用IBIS模型分析的信号完整性问题包括:串扰、反射、振荡、上冲、下冲、不匹配阻抗、传输线分析、拓扑结构分析。IBIS尤其能够对高速振荡和串扰进行准确精细的仿真,它可用于检测最坏情况的上升时间条件下的信号行为及一些用物理测试无法解决的情况;

模型可以免费从半导体厂商处获取,用户无需对模型付额外开销;

兼容工业界广泛的仿真平台,几乎所有的信号完整性分析工具都接受IBIS模型。

当然,IBIS不是完美的,它也存在以下缺点:

(1)许多芯片厂商缺乏对IBIS模型的支持。而缺乏IBIS模型,IBIS工具就无法工作。虽然IBIS文件可以手工创建或通过Spice模型自动转换,但是如果无法从厂家得到最小上升时间参数,任何转换工具都无能为力

(2)IBIS不能理想地处理上升时间受控的驱动器类型的电路,特别是那些包含复杂反馈的电路;

(3)IBIS缺乏对地弹噪声的建模能力。IBIS模型2.1版包含了描述不同管脚组合的互感,从这里可以提取一些非常有用的地弹信息。它不工作的原因在于建模方式,当输出由高电平向低电平跳变时,大的地弹电压可以改变输出驱动器的行为。

spice模型_SPICE器件模型 -Verilog-AMS模型和VHDL-AMS模型

与Spice模型和IBIS模型相比,Verilog-AMS和VHDL-AMS模型出现的时间要晚些,是一种行为模型语言。作为硬件行为级的建模语言,Verilog-AMS和VHDL-AMS分别是Verilog和VHDL的超集,而Verilog-A则是Verilog-AMS的一个子集。

在模拟/混合信号(AMS)语言中,与SPICE和IBIS模型不同的是,在AMS语言中是由用户来编写描述元器件行为的方程式。与IBIS模型相类似,AMS建模语言是独立的模型格式,可以应用在多种不同类型的仿真工具中。AMS方程式还能够在多种不同的层次上来编写:晶体管级、I/O单元级、I/O单元组等,唯一的要求是制造商能够写出描述端口输入/输出关系的等式。

实际上,AMS模型还能够被用于非电的系统元件上。一般地,可以把模型写得简单些可以加快仿真的速度,一个更详细的模型往往需要更多的时间来仿真。在某些情况下,一个相对简单的行为模型比Spice模型还要精确些。

由于Verilog-AMS和VHDL-AMS都是一种新的标准,被采纳也只是近5年的事情,迄今为止只有少数的半导体厂商能够提供AMS模型,目前能够支持AMS的仿真器也比SPICE和IBIS的要少。但AMS模型在PCB板级信号完整性分析中的可行性和计算精度毫不逊色于SPICE和IBIS模型。

上述几种模型的性能对比如表1中所示:

表1 高速电路仿真模型比较对照表


SPICE器件模型

spice模型_SPICE器件模型 -模型的校验

不管你决定选择何种模型和仿真工具,你所使用的方法必须是有效的。至少,模型的准确性、完整性必需得到保证。例如,一个接收器的IBIS模型必需包括Vinl和Vinh的值,驱动器的IBIS模型必需包括Vmeas的值。IBIS模型的数据表可以通过图形化的显示工具来检查,比如Mentor的Visual IBIS Editor或Cadence的Model Integrity工具。

同时,模型还必需能通过仿真器的检验,一个简单的点到点的互连可以被用来校验模型,比如检测是否存在收敛性问题,注意互连必需包括至少一段传输线,这样才能观察到反射、过冲和嵌位二极管的嵌位特性。

最终,模型还要通过实际的硬件测试进行再次校验。当然,器件的实际工作条件不可能完全符合仿真的参数,得到的测量数据与仿真结果无法完全一致,但是反映出来的器件特性应该吻合,比如在同样的负载条件下,边缘的斜率、过冲的幅度、信号的曲线形状等应该相似。

spice模型_SPICE器件模型 -模型的选用

由于目前还没有一种统一的模型来完成所有的PCB板级信号完整性分析,因此在高速数字PCB板设计中,需要混合上述几种模型来最大程度地建立关键信号和敏感信号的传输模型。

对于分立的无源器件,可以寻求厂家提供的SPICE模型,或者通过实验测量直接建立并使用简化的SPICE模型,或者使用专门的建模工具(如三维、二维的电磁场模型提取软件)建模。

对于关键的数字集成电路,则必须寻求厂家提供的模型,如IBIS模型或Spice。目前大多数集成电路设计和制造商都能够通过Web网站或其它方式在提供芯片的同时提供所需的IBIS模型,IBIS模型一般不提供,如需要可以找厂家索取。

对于非关键的集成电路,若无法得到厂家的IBIS模型,还可以依据芯片引脚的功能选用相似的或缺省的IBIS模型。当然,也可以通过实验测量来建立简化的IBIS模型。

对于PCB板上的传输线,在进行信号完整性预分析及解空间分析时可采用简化的传输线SPICE模型,而在布线后的分析中则需要依据实际的版图设计使用完整的传输线SPICE模型。如果需要更精确的分析,需要对传输线进行准确建模,可以利用二维或三维的模型提取工具。

spice模型_SPICE器件模型 -参考资料

http://baike.eccn.com/eewiki/index.php/SPICE%E5%99%A8%E4%BB%B6%E6%A8%A1%E5%9E%8B

  

爱华网本文地址 » http://www.aihuau.com/a/8103250103/32805.html

更多阅读

SWOT分析模型技巧 房地产swot分析模型

SWOT分析法(也称TOWS分析法、道斯矩阵)即态势分析法,20世纪80年代初由美国旧金山大学的管理学教授韦里克提出,经常被用于企业战略制定、竞争对手分析等场合。 SWOT分析模型技巧——定义概述SWOT是一种战略分析方法,通过对被分析对象的优

如何用牙签制作正四面体模型 用牙签制作模型

如何用牙签制作正四面体模型——简介今天要给大家介绍的是如何用牙签制作正四面体模型。手工制作四面体模型,可以加深对课本中四面体的认识,更加立体的了解四面体。主材料有牙签和缝衣线。如何用牙签制作正四面体模型——工具/原料

dnf模型补丁怎么用 dnf闪光补丁怎么用

dnf模型补丁怎么用——简介《地下城与勇士》中默认的游戏皮肤是最简单的一种皮肤,有很多热心的玩家自己开发了dnf的模型补丁供大家安装使用,再打开游戏的时候我们会发现游戏的界面更加的精美,更加的吸引我们去玩游戏,下面就来说怎样安装

魔兽世界如何修改模型 魔兽世界7.0模型修改

魔兽世界如何修改模型——简介魔兽世界的玩家经常都会遇到这种情况,玩了一段时间觉得角色种族太丑,动作不美观,想改种族体验耳目一新的视觉,但是改种族太贵,练小号太累。其实只需要加一个模型修改的插件即可,玩家可以使用模型修改器修改本

废物利用,用易拉罐制作飞机模型 易拉罐手工制作飞机

易拉罐是我们生活中常见的废物,扔之可惜,但是卖卖有不值几个钱,实在是鸡肋中的极品。一般人们利用易拉罐,无非就是当当烟灰缸,实在是无趣,不过今天,我给大家带来一件作品,简直就是艺术品。废物利用,用易拉罐制作飞机模型——工具/原料原料:易

声明:《SPICE器件模型 SPICE器件模型-SPICE器件模型,SPICE器件模型-SP》为网友等我的另一半分享!如侵犯到您的合法权益请联系我们删除